Semiconductors are to the information age what engines are to the industrial age. Chips are the steam engine, the internal combustion engine, and the fractional horsepower engine rolled up into one. The Chip History Center is dedicated to preserving that history for future generations.

up down arrow
Title Summary Relevant date Last updated
2010's
Did EUV development cost more ... Factoids from the edge: Some like to say that EUV development has cost ... 14 Jan 2010 29 Apr 2021
Multi-Pattern Lithography Econ ... Also known as DPT, or dual pattern technology, for exposing semiconduc ... 05 Feb 2010 29 Apr 2021
DRAM Market Bubbles: Will the ... This has become one of the most controversial semiconductor topics of ... 09 Apr 2010 06 Apr 2021
Semiconductor Industry Consoli ... How consolidation in the semiconductor industry benefited customers. 07 Jan 2011 05 Aug 2021
Are the foundries slowing node ... Silicon mythology has it that node progression is predictable and cons ... 18 Jan 2013 15 Sep 2021
Semiconductor Companies on the ... Consolidation in the Semiconductor Industry is strange because of the ... 22 Feb 2013 19 May 2021
Why IBM sold its semiconductor ... IBM had a very complex set of issues to resolve, making it far more th ... 24 Oct 2014 07 May 2021
Semiconductor Business Model E ... The new semiconductor market structure, the return of horizontals, and ... 15 Apr 2016 25 Mar 2021
IBM Research Semiconductor Gro ... One of only 3 logic research centers in the world, the business case f ... 01 Jul 2016 25 Mar 2021
2000's
  Intel at 90nm | The Chip Histo ... Mark Bohr, Intel Fellow, Director of Process Architecture and Integrat ... 09 Dec 2002 27 Apr 2018
Intel tackles Mobile with Cent ... The launch of Intel’s Centrino in 2003 marks move that took laptop P ... 12 Mar 2003 14 Oct 2017
Rising Wafer Fab Costs and Moo ... Will rising Wafer Fab Costs & Moore's Second Law bring an end to Moore ... 27 Mar 2003 28 Aug 2017
Intel's 35th Anniversary Histo ... Starting a silicon revolution, also known as the “Fairchild Brain Dr ... 18 Jul 2003 08 May 2018
  Low-k Interconnect Materials i ... In this historic 2003 video, Hans Stork discusses the status of Low-k ... 18 Jul 2003 02 Oct 2018
  Photonics and optical intercon ... Hans Stork discusses the future of photonics and optical interconnect ... 18 Jul 2003 02 Oct 2018
  The rise of customer involveme ... Hans Stork discusses trends in the level of customer involvement durin ... 18 Jul 2003 03 Oct 2018
  The role for E-beam maskless l ... Hans Stork addresses the role E-beam maskless lithography for low-volu ... 18 Jul 2003 02 Oct 2018
  Consolidation in the ATE Indus ... Mark Jagiela, President of Teradyne's Semiconductor ATE Group, on cons ... 12 Aug 2003 22 Aug 2017
  Is it possible to have a non-p ... Mark Jagiela, President of Teradyne Semi Group, on... Is it possible t ... 12 Aug 2003 22 Aug 2017
  Open ATE Architectures versus ... Mark Jagiela, President of Teradyne's Semiconductor ATE Group, on the ... 12 Aug 2003 22 Aug 2017
  The Move to an Open Architectu ... Mark Jagiela, President of Teradyne's Semiconductor ATE Group, on what ... 12 Aug 2003 22 Aug 2017
  The First Hi-k Dielectric Mate ... The development of Hi-k Dielectric Materials mark a key turning point ... 27 Oct 2003 22 Aug 2017
  When Simple IC Scaling Died In 2003, Bernie Meyerson, CTO at IBM's Microelectronics Division, shoc ... 05 Nov 2003 22 Aug 2017
  Intel at 65nm | The Chip Histo ... Mark Bohr discusses process technology development on Intel's 65nm Pro ... 20 Nov 2003 28 Sep 2017
  Intel 300mm Wafer Fab 11X Circ ... This video is a manufacturing IT case study that shows Intel's first t ... 01 Jun 2004 22 Aug 2017
  How Power, Performance, Area, ... Sunlin Chou discusses how managing these parameters have evolved over ... 05 Oct 2004 31 Oct 2019
Moore's Law: 40 Years and Coun ... In 1965, Gordon Moore sat down to pen his article for Electronics maga ... 01 Jan 2005 28 Aug 2017
40 Years Of Moore's Law Historical perspective on Moore’s Law and its affects on everyday li ... 06 Jun 2005 01 Oct 2017
  The Role of CFO at a Semicondu ... Bob Halliday, Executive VP & CFO, Varian Semiconductor talks about man ... 03 Sep 2005 22 Aug 2017
  Intel moves 65nm to manufactur ... Mark Bohr, Intel Senior Fellow, Technology and Manufacturing Group dis ... 24 Oct 2005 28 Sep 2017
  KULICKE & SOFFA: WIRE BONDING ... Ever wonder how the nanochips you see in pictures wind up covered in p ... 15 Jun 2006 22 Aug 2017
Apple’s iFolly: pricing stra ... Apple's first iPhone pricing gaff of having to take a $200 haircut fro ... 21 Sep 2007 02 Mar 2018
The DRAM crash of 2008 How the 2007-2008 financial crisis that led to the great recession ign ... 02 Jan 2008 10 Aug 2018
IBM’s R&D Partnering Model â ... The Chip Insider's Cook’s Tour: A deep immersion course in what IBM ... 10 Jan 2008 08 May 2018
Megafabs: Why they build them? One of the most interesting questions to answer is why are Megafabs so ... 17 Jan 2008 27 Apr 2018
2008 Looks Darker 15 Feb 2008 27 Apr 2018
Semiconductor Wafer Fab Cost e ... At ISSCC, someone predicted that fabs would soon cost $100B. Do you ag ... 12 Feb 2010 24 Feb 2021
1990's
Applied Materials - Endura 550 ... Applied Materials - Endura 5500 PVD: The first bullet-proof multi-cham ... 12 Jul 1990 18 Oct 2019
KLA Conquers Phase Shift Inspe ... Technology, Circa 1991 – As lithography moved into ever lower k fact ... 20 May 1991 06 Sep 2018
TEL - Clean Track Mark II TEL's Clean Track Photoresist Processing System 01 Jan 1992 05 Jul 2018
How Japan Lost its Semiconduct ... The 5 strategic causes behind the long-term failure of Japan’s Semic ... 25 Jul 1992 28 Oct 2019
BEOL Origins | The Chip Histor ... When Back End of the Line wafer processing was new and emergent. 15 Feb 1995 12 Jul 2018
Applied Materials - The Mirra ... Applied Materials - The Mirra CMP System - The first CMP tool that was ... 30 Jun 1995 01 Aug 2017
ASML - PAS 5500/400, Step & Sc ... Though not the first step & scan system—that honor goes to Perkin El ... 30 Jun 1995 01 Aug 2017
ASML - Twinscan, Step & Scan A ... Just as the first lights of a new millennium were dawning in 1999, ASM ... 30 Jun 1995 01 Aug 2017
Asyst - SMIF System Back in the early eighties people dressed up in hot, humid and extreme ... 30 Jun 1995 01 Aug 2017
Hampshire - Series 3500 X-Ray ... X-Ray Exposure technology has long been said to be the technology of t ... 30 Jun 1995 01 Aug 2017
Nikon - NSR 1755EX8A Step-and- ... An early excimer laser stepper for 0.45 micron. 30 Jun 1995 31 Aug 2017
Verigy - (HP) 93000 Today the company is known as Verigy and they are considered a powerho ... 30 Jun 1995 31 Aug 2017
When the death of Moore's Law Crashing into Moore's Wall is like a conspiracy theory: it can never b ... 15 Jan 1996 30 Dec 2017
Intel 1997 | The Chip History ... Important events and trends were in 1997 for the semiconductor industr ... 27 Jun 1997 08 May 2018
Intel's Manufacturing Advantag ... Intel's Overlooked Advantage: A Case Study in Why Manufacturing Matter ... 03 Jul 1997 08 May 2018
IBM’s development of copper ... IBM was first with copper interconnect for semiconductors. It marked t ... 22 Sep 1997 25 Jul 2018
The First Chip Insider® The Asian Financial Crisis was upon us and 300mm wafer fabs were on th ... 10 Mar 1998 09 Mar 2021
How much 300mm Semiconductor W ... 300mm wafer development in the mid-90s failed as a silicon cycle downt ... 20 Mar 1998 19 Mar 2021
IBM using 1X x-ray lithography IBM is using 1X x-ray lithography to expose the gate level of 0.15 mic ... 27 Apr 1998 10 May 2018
  Copper Interconnect – How Ma ... The transition from aluminum to copper wiring in semiconductor process ... 15 Jun 1998 22 Oct 2019
300mm Semiconductor Wafers and ... All technology revolutions are not so easy. other than in 20:20 hindsi ... 30 Jun 1998 11 Mar 2021
Applied Materials introduces P ... Applied Materials’ Producer marked an important turning point in the ... 01 Jul 1998 03 Jul 2018
Applied Materials' Producer - ... The Chip Insider's review of Applied Materials' Producer at its introd ... 04 Jul 1998 03 Jul 2018
300mm Semiconductor Wafers get ... The industry's transition to 300mm Semiconductor Wafers almost stalled ... 22 Jul 1998 02 Jun 2021
Taiwan shifting from being a c ... System On Chip -SOC- began to emerge as the 90's came to a close and T ... 22 Sep 1998 02 Jun 2021
1980's
Takeda Riken – Growth of ~5 ... Takeda Riken, the antecedent to Advantest, was hardly known outside Ja ... 21 Oct 2019
Hitachi - RA-101HL & RA501HL W ... Rare captively made stepper with a Minolta Lens 31 Dec 1969 01 Aug 2017
KLA-Tencor - KLA ADD/100 Serie ... The dawn of automated inspection. KLA's mask inspection tools took ove ... 01 Jan 1980 23 Sep 2017
VLSI - An Economist's Viewpoin ... A Presentation Draft of The First Wafer Fab Cost Optimization Model198 ... 15 Jan 1980 28 Aug 2017
In the News: Technology, Crica ... This technical paper laid out the future of yield management, covering ... 01 Jun 1981 06 Sep 2018
Lam Research AutoEtch 480 Lam Research’s AutoEtch 480 was a landmark in the history of plasma ... 15 Jul 1981 14 May 2018
KLA Conquers the Pellicle Technology, Circa 1981 – It's often the overlooked news that turn ou ... 16 Nov 1981 06 Sep 2018
Takeda Riken Enters US ATE Mar ... Takeda Riken announces plans to enter the US ATE (automatic test equip ... 15 Jun 1982 21 Oct 2019
  The Development of the First I ... Megatest's MegaOne was the system that sparked the tester-per-pin revo ... 02 Jan 1983 30 Dec 2017
Takeda Riken – Reliability D ... Takeda Riken, the antecedent to Advantest, built it's brand on Semicon ... 31 Mar 1983 21 Oct 2019
1983 The Quality Revolution St ... In 1983 the semiconductor equipment industry was coming out of a two-y ... 13 Jun 1983 09 Aug 2017
KLA 200 Series Reticle Inspect ... KLA's Reticle Inspection System was the first automatic inspection sys ... 15 Jun 1984 02 Mar 2018
Why the Giant Computer Compani ... The technology story of the 60s was about the rise of computer giants, ... 15 Jun 1985 29 Sep 2021
Dawn of the CD SEM The Dawn of the CD SEM came with Hitachi’s (now Hitachi High-Technol ... 18 Jun 1985 26 Jul 2019
AMI - Series 2000, 2nd Op Stat ... The AMI Model 2100 is an excellent representative of Second Optical In ... 30 Jun 1985 31 Jul 2017
Applied Materials - AME 8100 B ... Applied Materials - AME 8100 Batch Etcher :1980-84 - The blockbuster t ... 30 Jun 1985 26 Apr 2018
Applied Materials - Precision ... Applied Materials - Precision 5000 CVD, The blockbuster that made clus ... 30 Jun 1985 31 Aug 2017
Applied Materials - Precision ... Here is the machine that changed the industry. Numerous companies had ... 30 Jun 1985 05 Jul 2017
ASM Assembly Automation - PF53 ... Here are two fine examples of third optical inspection systems when su ... 30 Jun 1985 31 Jul 2017
ASML - PAS 2000 Wafer Stepper Virtually everyone seemed to be entering the semiconductor capital equ ... 30 Jun 1985 01 Aug 2017
ASML - PAS 2500 Series Wafer S ... The system that built the company. 30 Jun 1985 01 Aug 2017
Cameca - ARW Aligner Repeater Cameca was a European based attempt from the research department of Th ... 30 Jun 1985 01 Aug 2017
Canon - Model FPA141 Projectio ... Though not as elegantly encased as the Perkin-Elmer 100, here was Japa ... 30 Jun 1985 01 Aug 2017
Cobilt - Model AF110 Brushless ... Wafer Cleaning was the continual Achilles’ heel of the semiconductor ... 30 Jun 1985 01 Aug 2017
Drytek - DRIE 100 Plasma Etche ... The Drytek DRIE 100 plasma etcher was the template for all cluster too ... 30 Jun 1985 11 Mar 2020
Genus - 1510 MeV Ion Implanter High-energy ion implanters, typically in the range of 1 to 3 MEV, were ... 30 Jun 1985 01 Aug 2017
Genus - 8720 Tungsten CVD Syst ... This system, from about 1988, represents one of the most successful tu ... 30 Jun 1985 01 Aug 2017
IMS - Ion Beam Exposure Tool The push for sub-micron line features sprouted several experimental at ... 30 Jun 1985 01 Aug 2017
JEOL - JBX-6A Electron Beam Ex ... A 6th gen maskless litho tool from the early 80's. 30 Jun 1985 01 Aug 2017
Karl Suss - LSX10 X-Ray Exposu ... The Karl Suss company was one of the first companies to explore commer ... 30 Jun 1985 01 Aug 2017
KLA-Tencor - KLA 2020 The tool that sparked the yield management revolution. 30 Jun 1985 01 Aug 2017
Lam Research: the Early Years One of the most celebrated companies in the equipment industry, Lam wa ... 30 Jun 1985 28 Aug 2017
LASA Industries - Prototype Here is the first true lights-out-fab. Since the beginning of the indu ... 30 Jun 1985 31 Aug 2017
Megavolt Ion Implantation Syst ... High Voltage Engineering shipped the first commercial Ion Implanter, b ... 30 Jun 1985 01 Aug 2017
Micronix - MX-15 X-Ray Lithogr ... The first commercial American X-ray lithography system. 30 Jun 1985 01 Aug 2017
Mix & Match Photoresist Proces ... In the early eighties virtually every company was seeking entry into t ... 30 Jun 1985 11 Sep 2017
Model IS-4 2nd Op Inspection S ... Here is another excellent example of an early Second Optical Inspectio ... 30 Jun 1985 01 Aug 2017
Novellus - Concept One CVD Die ... This photo shows a descendant of the first Concept One, almost a decad ... 30 Jun 1985 01 Aug 2017
Pacific Western - Model 560 'C ... For years the Coyote held a favored spot among users as the best littl ... 30 Jun 1985 01 Aug 2017
Screen - The P210 Wet Processi ... When I first visited this company in the early seventies, it was known ... 30 Jun 1985 01 Aug 2017
Shinkawa - SWB-FA-UTC-40 Wire ... Well known in the U.S. as a Marubeni import, Shinkawa’s wire bonders ... 30 Jun 1985 01 Aug 2017
Tegal - Plasma Inline 700 Sing ... What the cutting edge of etching looked like in 1980 30 Jun 1985 01 Aug 2017
TEL - Model TMC 4400-A Wafer P ... If this photo looks a bit ghostly that’s because it is. We have no s ... 30 Jun 1985 01 Aug 2017
Varian - 3280 Cassette-to-Cass ... The 3180 was the blockbuster of the early eighties. The 3280 was an ex ... 30 Jun 1985 01 Aug 2017
Varian - The MBE GEN II - Mol ... Not many commercial machines get more exotic than this. It even looks ... 30 Jun 1985 01 Aug 2017
Varian - VLS 1000 Electron Bea ... Remember when Varian was in the lithography business? You dont? Well, ... 30 Jun 1985 11 Sep 2017
Westech - Model 372 Wafer Poli ... They had the world on a string and were sitting on a rainbow, but had ... 30 Jun 1985 01 Aug 2017
ASML - PAS 2500/10 Stepper ASML - PAS 2500/10 Stepper :1985-89 15 Jun 1986 06 Sep 2018
TSMC Founded - The First Pure- ... Semiconductor History being made in the 1980’s The founding of TS ... 21 Feb 1987 14 Sep 2017
Nat Ceglio: EUV Pioneer Nat was one of the prime movers of EUV and without his perseverance, ... 01 Jan 1988 07 Sep 2017
Intel: It wasn't always easy Most assume it has been paint by the numbers for Intel, since IBM firs ... 27 Mar 1998 29 Sep 2021
How the U.S. beat Japan in Sem ... The source of Japan’s failure to move forward is mostly about the fail ... 17 Aug 2012 19 May 2021
1970's
SEMICON Conceived SEMI's Great Moments in Semiconductor History: The conception of the S ... 01 Jan 1970 21 Oct 2019
Cobilt - Autofab, Inline Resis ... Cobilt - Autofab, Inline Resist Processing System 15 Jun 1974 05 Jul 2018
Accelerators Inc.- 400 Series ... This photo from the AI 400 series high energy implanters is one of the ... 30 Jun 1975 31 Jul 2017
ADAR - Doctor, Memory Tester Adar Doctor 32 RAM/ROM Memory Tester..... 30 Jun 1975 31 Jul 2017
ADAR - MX-17 LSI Test System MX-17 LSI Test System by Adar Associates, Inc... 30 Jun 1975 31 Jul 2017
Applied Materials Series 7600 ... The system that built the company. 30 Jun 1975 31 Jul 2017
Canon - PLA 501F/FA Proximity ... Proximity aligners were a bridge tool between the original contact ali ... 30 Jun 1975 31 Jul 2017
Electromask - 700SLR Stepper Arguably the best stepper of its day, based on resale values. 30 Jun 1975 31 Aug 2017
Fairchild Systems Technology - ... Possibly the 1st high speed, high pin count, LSI Test System. 30 Jun 1975 16 May 2018
Gasonics - HiPOX System Possibly the only successful high pressure system. 30 Jun 1975 31 Jul 2017
GCA - Mann 4800 Direct Step on ... It broke the 1 micron CD barrier. 30 Jun 1975 31 Jul 2017
GCA - The Mann 3600 Photo Repe ... Here is the Mann and the man that together started two revolutions in ... 30 Jun 1975 31 Jul 2017
GCA - Wafertrac® Resist Proce ... Remember air track? It was all the rage in the days when technocool w ... 30 Jun 1975 31 Jul 2017
Intel - 8008 CPU 8008- 8 bit parallel central proccessing unit. 30 Jun 1975 31 Jul 2017
Intel 4004 | The Chip History ... The World's First Microprocessor and more! A series that shows the evo ... 30 Jun 1975 31 Jul 2017
LFE Corporation - 501 Chrome E ... Not the first such system, but near the first. 30 Jun 1975 05 Jul 2018
Lintott - Early Ion Implanter One of the first commercial high current ion implanters. 30 Jun 1975 31 Jul 2017
Macrodata - MD100 Test System This little bench-top tester was a real Mighty Mouse© as the sixties ... 30 Jun 1975 31 Jul 2017
MRC - Series 900 In-Line Sputt ... The semiconductor industry was plunging rapidly into alloy deposition ... 30 Jun 1975 31 Jul 2017
Perkin Elmer - Micralign Proje ... The Intel secret weapon that turned the industry upside-down and revol ... 30 Jun 1975 01 Aug 2017
Tempress - Model 216 Pyrox Rea ... You might think that some of the early glass deposition systems looked ... 30 Jun 1975 31 Jul 2017
The Near Impossibility of Maki ... This superb article, about the birth of the Perkin Elmer Projection Sc ... 30 Jun 1975 28 Aug 2017
Transistor Automation - Model ... Here is a workhorse of the early industry, the Teledyne TAC model XY54 ... 30 Jun 1975 19 Sep 2017
Veeco - ai Model 2100 Ion Impl ... Accelerators Inc was one of the three or four early implementers of io ... 30 Jun 1975 31 Jul 2017
Solution Selling 1977: Semicon ... Applied Materials led the way out of selling products to seeking solut ... 05 Apr 1977 30 Jul 2017
Maskless Lithography: Circa 19 ... IBM’s EL-1: a milestone in e-beam lithography 10 Nov 1977 03 Sep 2018
Semiconductor Equipment Too Ex ... Wafer fab costs skyrocketing out of control 30 Oct 1978 03 Sep 2018
  The Projection Aligner: It's d ... The development came from an unlikely company: Perkin Elmer. Dave Mark ... 16 Aug 2007 22 Oct 2019
Rubylith mask-making economics Ever wonder what economic impact mask technology innovation has had? A ... 07 Dec 2018 24 May 2019
1960's
The 1st Cleanroom The cleanroom was invented in the early 1960s by Willis Whitfield, whi ... 24 Nov 1964 11 Feb 2020
Moore's Law 101: The Math and ... Moore’s Law has morphed into many things over its life. But what is ... 19 Apr 1965 02 Oct 2017
Moore's Law: Original Draft 19 ... The Future of Integrated Electronics draft, written by Dr. Gordon E. M ... 19 Apr 1965 14 Sep 2017
AAI Series 1000 Automatic Test ... This logic tester represented another early attempt at capturing the a ... 30 Jun 1965 08 May 2018
Applied Materials - AMV CVD Re ... Applied materials vertical rotary reactors are widely used by chemical ... 30 Jun 1965 31 Jul 2017
DW Industries Vacuum Depositio ... During many of the early years, in a little shop on Arques Avenue in S ... 30 Jun 1965 31 Jul 2017
Electroglas Model 131B Motoriz ... The elegant simplicity of this small machine belies the fact that an e ... 30 Jun 1965 31 Jul 2017
Fairchild Instrumentation Mode ... This key piece of equipment was the granddaddy of most modern IC test ... 30 Jun 1965 31 Aug 2017
Pacific Western - The Probe II ... A little system that was to become one of the most favored probers on ... 30 Jun 1965 31 Jul 2017
Thermco Diffusion Furnace Here is an excellent view of a state-of-the-art diffusion furnace from ... 30 Jun 1965 31 Jul 2017
Teradyne J259 IC Test System This famous test system was the first truly computer-controlled IC tes ... 01 Jun 1966 01 Dec 2017
Intel's Founding The story of the birth of Intel 11 May 1968 25 Jul 2018
Robert N. Noyce: The Intel Yea ... Bob Noyce left Fairchild in 1968 to found Intel with Gordon Moore and ... 01 Jun 1968 01 Aug 2017
Intel’s Founding Strategy ... These strategies were what made Intel so successful. 18 Jul 1968 25 Jul 2018
Intel’s First Product Intel introduces its first product: The 3101 SRAM with this press rele ... 15 Aug 1969 24 May 2018
Pre-History
Julius E. Lilienfeld - HoF Julius E. Lilienfeld - HoF: For inventing and patenting the first FET ... 22 Oct 1925 25 Mar 2020
1947-The Transistor is Discove ... SEMI's Great Moments in Semiconductor History: In 1947, Walter Brattai ... 16 Dec 1947 23 Jul 2019
Transistors to Integrated Circ ... Transistors to Integrated Circuits: How the early semiconductor indust ... 16 Dec 1947 23 Jan 2019
Robert N. Noyce: Early Career Bob Noyce's early career is probably best known for inventing the IC. ... 01 Jun 1953 01 Aug 2017
Making Silicon Commercially Ma ... SEMI's Great Moments in Semiconductor History: In the mid-1950s. Dr. H ... 15 Jun 1955 21 Oct 2019
1958: The Integrated Circuit I ... Few inventions have proven to be as the integrated circuit.... 30 Jun 1955 15 Aug 2018
1st diffusion transistors Fairchild lead the industry from mesa-transistors to diffusion using J ... 30 Jun 1955 31 Jul 2017
70th Anniversary of the Transi ... Transistors are the unsung hero of the Internet Age yet few people out ... 30 Jun 1955 02 Feb 2018
First Monolithic Silicon IC Ch ... 1961: First Monolithic Silicon IC Chip. Invented by Robert Noyce, Fa ... 30 Jun 1955 31 Aug 2017
The First IC by Jack Kilby 1958: The First IC by Jack Kilby, Texas Instruments 30 Jun 1955 31 Jul 2017
  The Transistor and the Birth o ... The Invention of the Transistor and the Birth of the Information Age. ... 30 Jun 1955 22 Oct 2019
  The invention of the IC, an In ... The History of the invention of the Integrated Circuit. Why it wasn't ... 23 Jan 1958 22 Oct 2019
Invention of the Integrated Ci ... SEMI's Great Moments in Semiconductor History: Invention of the IC 28 Aug 1958 27 Aug 2019
Jack Kilby on the IC invention Jack Kilby’s Nobel Prize acceptance speech on the invention of the I ... 28 Aug 1958 27 Aug 2018
  Texas-California battle over t ... Who really invented the Integrated Circuit? In this video, Dan Hutches ... 28 Aug 1958 27 Aug 2018

Access to and use of this Website is subject to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy. By accessing or using this Website you agree to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy.

Copyright © 2024 TechInsights Inc. All rights reserved.