Semiconductors are to the information age what engines are to the industrial age. Chips are the steam engine, the internal combustion engine, and the fractional horsepower engine rolled up into one. The Chip History Center is dedicated to preserving that history for future generations.

up down arrow
Name Title Relevant Date Last Updated
  Aart de Geus The History of EDA: an interview with Aart de Geus 08 Nov 2006 31 Aug 2017
  Aart de Geus Current Trends in EDA 05 Dec 2006 21 Aug 2017
  Alex d'Arbeloff Alex d'Arbeloff on Teradyne's Early Days 23 May 2006 12 Feb 2018
  Alex d'Arbeloff Alex d'Arbeloff on Teradyne's Rise 01 Jun 2006 12 Feb 2018
  Alex d'Arbeloff Alex d'Arbeloff on Lessons Learned at Teradyne 07 Jun 2006 12 Feb 2018
  Anantha Sethuraman Introducing DFMSim 01 Jul 2008 14 Feb 2018
  Andre Auberton-Herve Soitec's SOI Roadmap 26 Feb 2004 29 Aug 2017
  Andrew Hazelton Lithography Panel 2008: Andrew Hazelton, Nikon 18 Nov 2008 20 Feb 2018
  Andrew Hazelton, Phil Ware ... Lithography Panel 2008: Audience Q&A 18 Nov 2008 20 Feb 2018
  Andy Grove Andy Grove on the development of R&D 15 Jun 2004 31 Jul 2017
  Andy Grove Andy Grove on the Evolution of Semiconductor Manufacturing 22 Mar 2005 31 Jul 2017
Andy Grove Andy Grove as an Industry Change Agent 21 Mar 2016 04 Aug 2018
  Art Zafiropoulo Art Zafiropoulo and his 2000 award for Sales 29 Nov 2004 21 Oct 2019
  Art Zafiropoulo Ultratech's Vision of the Future 16 Nov 2004 29 Aug 2017
  Art Zafiropoulo How Organic Growth Beats Acquisitive Growth in Hi-Tech 10 Aug 2007 13 Feb 2018
Arthur del Prado A tribute to Arthur del Prado 28 Oct 2016 31 Dec 2020
  Aubrey (Bill) Tobey Bill Tobey on his Bob Graham Award and Lessons Learned 06 Dec 2006 31 Jul 2017
  B. Price Test Panel: B. Price, Philips on... Open Architecture 29 Mar 2005 11 Aug 2017
  Barry Rapozo Barry Rapozo and his 2001 award 29 Nov 2004 21 Oct 2019
  Bernie Meyerson When Simple IC Scaling Died 05 Nov 2003 22 Aug 2017
  Bill (Aubrey) Tobey Bill Tobey on the Wafer Stepper and it's development at GCA 13 Dec 2006 07 Sep 2017
  Bill Arnold Semiconductor lithography history: dateline 2003 - Bill Arnold 22 Oct 2003 07 Sep 2017
  Bill Arnold Lithography Panel 2004: Bill Arnold 16 Nov 2004 02 Mar 2018
  Bill Arnold Lithography Panel on Immersion vs. EUV at 32nm 07 Dec 2005 08 Feb 2019
  Bill Arnold Lithography Panel 2006: Bill Arnold, ASML 31 Oct 2006 01 Jan 2021
  Bill Arnold Lithography Panel 2007: Bill Arnold, ASML 05 Nov 2007 13 Feb 2018
  Bill Arnold Lithography Panel 2008: Bill Arnold, ASML 18 Nov 2008 20 Feb 2018
  Bill Arnold, Gene Fuller, ... Lithography Panel 2005: Audience Q&A on... 32nm: Immersion or EUV? 07 Dec 2005 08 Feb 2019
  Bill Arnold, Gene Fuller, ... How is revenue recognized 22 Oct 2003 07 Sep 2017
  Bill Arnold, Gene Fuller, ... Will a NGL emerge before EUV? 22 Oct 2013 16 Aug 2017
  Bill Arnold, Gene Fuller, ... Semiconductor Lithography History: Dateline 2003 22 Oct 2003 07 Sep 2017
  Bill Arnold, Gene Fuller, ... Dateline 2003 - Highest NA and Lowest K possible 22 Oct 2003 07 Sep 2017
  Bill Arnold, Gene Fuller, ... Lithography Panel 2004: Audience Q&A 16 Nov 2004 02 Mar 2018
  Bill Holt Decision Making at Intel 21 Jan 2008 05 Jul 2018
  Bob Dennard Power/Performance in ICs: Where the trend started 15 Nov 1966 14 Nov 2019
  Bob Graham The Bob Graham Award 29 Nov 2004 21 Oct 2019
Bob Graham The stock will take care of itself 15 Jun 1988 07 Feb 2021
  Bob Halliday The Role of CFO at a Semiconductor Equipment Company 03 Sep 2005 22 Aug 2017
  Bob Helsel Semiconductor Test Consortium (STC) Panel 2008 05 Feb 2008 13 Feb 2018
  Bob Helsel, Don Edenfeld, ... How Industry Standards Improve Test Productivity 22 Mar 2007 03 Oct 2017
  Boris Lipkin Managing a Turnaround in an Unfriendly Environment 12 Oct 2004 11 Aug 2017
  Brian M. Krzanich Manufacturing at Intel 24 Jun 2008 14 Feb 2018
  Chandra Mouli Intelligent Fab Automation 21 Mar 2006 29 Aug 2017
  Chandra Mouli Enabling Intel’s R&D through Automated Manufacturing Technology 08 Jan 2007 13 Feb 2018
  Charlie Sporck Fairchild & Chip Making 10 Oct 2007 24 Jul 2017
  Charlie Sporck Going Off-Shore 17 Oct 2007 31 Aug 2017
  Charlie Sporck Taking on the World 24 Oct 2007 24 Jul 2017
  Charlie Sporck Lessons Learned 31 Oct 2007 22 Oct 2019
  Chiang Yang Chiang Yang on What’s New in Photomask Technology 18 Oct 2006 12 Feb 2018
  Craig Barrett Math, Science, & Your Future 27 Apr 2006 24 Jul 2017
  Craig Barrett Craig Barrett: How Intel Rebuilt Manufacturing in the mid-80's 04 May 2006 31 Jul 2017
  Craig Barrett American Competitiveness 10 May 2006 31 Aug 2017
  D. Edenfeld Test Panel: D. Edenfeld, Intel on... Open Architecture 29 Mar 2005 11 Aug 2017
  Dan Mahoney Renesas’ Amazing Strides in the SOC Systems Solution Market 26 Oct 2006 21 Aug 2017
  Dan Maydan On the 20th Anniversary of Precision 5000 30 Nov 2007 22 Oct 2019
  Dan Maydan Building Applied Materials into a Multi-Product Company 01 Dec 2005 31 Aug 2017
  Dan Maydan The Early Days of Applied Materials 29 Nov 2005 24 Jul 2017
  Dan Maydan Lessons Learned at Applied Materials 12 Dec 2005 24 Jul 2017
  Dave Anderson What ATDF’s All About 07 Sep 2006 21 Aug 2017
  Dave Markle The Projection Aligner: It's development at Perkin Elmer in the 1970's 16 Aug 2007 22 Oct 2019
  Dick Deininger Dick Deininger on Advanced Process Control 22 Apr 2003 08 May 2018
  Dick Deininger Where is APC used? 22 Apr 2003 05 Apr 2018
  Dick Deininger Joint Process Development with IBM 22 Apr 2003 05 Apr 2018
  Don Edenfeld Semiconductor Test Consortium (STC) Panel 2008: Don Edenfeld, Intel 05 Feb 2008 13 Feb 2018
  Don Edenfeld, Klaus Luther ... Semiconductor Test Consortium (STC) Panel 2008: Q & A 05 Feb 2008 13 Feb 2018
  Ed Segal 2002: Ed Segal | The Chip History Center 29 Nov 2004 21 Oct 2019
  Edmund Cheng Design-For-Manufacturing (DFM) 26 Aug 2004 11 Aug 2017
  F. Bode Test Panel: F. Bode, Bode Enterprises on... Open Architecture 29 Mar 2005 11 Aug 2017
  F. Bode, D. Edenfeld, B. P ... Test Panel: Audience Q&A on... Open Architecture 29 Mar 2005 21 Aug 2017
G. Dan Hutcheson Moore's Law and the race to the bottom by G. Dan Hutcheson 15 Nov 2006 02 Oct 2017
  G. Dan Hutcheson The Shifting Winds of Power 30 Apr 2008 14 Feb 2018
Gary Heerssen Remembering a Manufacturing Hero 13 Jun 2007 31 Jul 2017
  Gary Patton IBM’s 32nm Hi-K Chip Technolog 23 Apr 2008 14 Feb 2018
  Gene Fuller Semiconductor lithography history: dateline 2003 - Gene Fuller 22 Oct 2003 07 Sep 2017
  Gene Fuller Lithography Panel 2004: Gene Fuller 16 Nov 2004 02 Mar 2018
  Gene Fuller Lithography Panel: Gene Fuller of Nikon on Immersion vs. EUV at 32nm 07 Dec 2005 08 Feb 2019
  Gene Fuller Lithography Panel 2006: Gene Fuller, Nikon 21 Dec 2016 07 Sep 2017
  Gene Fuller Lithography Panel 2007: Gene Fuller, Nikon 05 Nov 2007 13 Feb 2018
  Gene Fuller, Phil Ware, Bi ... Semiconductor lithography history: dateline 2003 -193nm Immersion 22 Oct 2003 07 Sep 2017
  Gene Fuller, Phil Ware, Bi ... Semiconductor lithography history: dateline 2003 - Design rules 22 Oct 2003 07 Sep 2017
  Gene Fuller, Phil Ware, Bi ... Lithography Panel 2007: Audience Q&A 05 Nov 2007 13 Feb 2018
  Hans Stork on customer inv ... The rise of customer involvement 18 Jul 2003 03 Oct 2018
  Hans Stork on decision-mak ... Decision-making processes in a technology development organization 18 Jul 2003 02 Oct 2018
  Hans Stork on Low-k Interc ... Low-k Interconnect Materials in the Early 2000’s 18 Jul 2003 02 Oct 2018
  Hans Stork on photonics an ... Photonics and optical interconnects in the early 2000s 18 Jul 2003 02 Oct 2018
  Hans Stork on the role for ... The role for E-beam maskless lithography 18 Jul 2003 02 Oct 2018
Haruo Matsuno Remembering Haruo Matsuno of Advantest 24 Oct 2014 07 May 2021
  Henry Becker Introducing Qimonda and Defining Their Competitive Edge 10 May 2007 03 Oct 2017
  Jack Trautman Is Test Adding More Value than Wafer Fab Today? 18 Nov 2003 21 Sep 2017
  Jack Trautman Audience Q&A | The Chip History Center 18 Nov 2003 17 Aug 2017
  Jerry Cutini The Opportunity for Mid-Tier Semi Eq Cos. 31 Jan 2006 21 Aug 2017
  Jerry Hutcheson Jerry Hutcheson and his 2002 award for Sales and Marketing 29 Nov 2004 21 Oct 2019
  Jim Bowen Eaton's Semiconductor Equipment Foray, a case study 25 Oct 2006 31 Jul 2017
  Jim Bowen The Turn Around at Fairchild Test Systems Group 05 Jan 2006 31 Jul 2017
  Jim Bowen Building Fairchild Test Systems Group into a Global Giant 12 Jan 2006 31 Jul 2017
  Jim Bowen Lessons Learned at Fairchild Test Systems Group 18 Jan 2006 31 Jul 2017
  Jim Healy Jim Healy and his 2001 award for Sales and Marketing 29 Nov 2004 21 Oct 2019
  Jim Healy Latest Trends in Design For Test 15 Mar 2007 03 Oct 2017
  Jim Morgan Bringing Focus to AMAT 07 Mar 2006 31 Jul 2017
  Jim Morgan Building a Global Position 16 Mar 2006 31 Jul 2017
  Jim Morgan Keys to Success 23 Mar 2006 31 Jul 2017
John Bardeen John Bardeen and Transistor Physics 25 Apr 2007 24 Jul 2017
  Kazuo Ushida Advancing Nano-Lithography 14 Jul 2008 14 Feb 2018
  Keith Lee Advantest Addresses Challenges of the ATE Business 24 May 2005 21 Aug 2017
  Keith Lee Advantest's strategies during the downturn 30 Jun 2009 14 Feb 2018
  Keith Lee | The Chip Histo ... Audience Q&A 24 May 2005 21 Aug 2017
  Ken David The First Hi-k Dielectric Materials for ICs 27 Oct 2003 22 Aug 2017
  Ken Schroeder Ken Schroeder | The Chip History Center 15 Jun 2004 02 Feb 2018
Ken Schroeder A tribute to Ken Schroeder 21 Nov 2016 31 Dec 2020
  Kenneth L. Schroeder How KLA-Tencor Meets Stringent Process Control Requirements 11 Jul 2005 26 Jan 2018
  Klaus Luther Semiconductor Test Consortium (STC) Panel 2008: Klaus Luther, Infineon 05 Feb 2008 13 Feb 2018
  Kumud Srinivasan Kumud Srinivasan on Fab Automation at Intel 04 Jun 2004 12 Feb 2018
  Lisa Su Lisa Su | The Chip History Center 14 Dec 2006 02 Feb 2018
  Mark Bohr Intel at 90nm | The Chip History Center 09 Dec 2002 27 Apr 2018
  Mark Bohr Intel at 65nm | The Chip History Center 20 Nov 2003 28 Sep 2017
  Mark Bohr Intel moves 65nm to manufacturing 24 Oct 2005 28 Sep 2017
  Mark Bohr Hi-k and Metal Gates 02 Feb 2007 03 Oct 2017
  Mark Bohr The State of Process Development at Intel-32nm, 22nm 09 Mar 2009 14 Feb 2018
  Mark Jagiela Open ATE Architectures versus Multiple Test Platforms 12 Aug 2003 22 Aug 2017
  Mark Jagiela The Move to an Open Architecture in Semiconductor IC Testing 12 Aug 2003 22 Aug 2017
  Mark Jagiela Is it possible to have a non-partisan consortium? 12 Aug 2003 22 Aug 2017
  Mark Jagiela Teradyne joining consortium? 12 Aug 2003 10 Aug 2017
  Mark Jagiela Consolidation in the ATE Industry 12 Aug 2003 22 Aug 2017
  Martin van den Brink The Rise of ASML 26 Jul 2006 31 Jul 2017
  Martin van den Brink Martin van den Brink 10 Jul 2006 02 Feb 2018
  Michael Polcari What's New at SEMATECH? 28 Sep 2004 11 Aug 2017
  Mick Fukuda Lithography Panel: Mick Fukuda of Canon on Immersion vs. EUV at 32nm 07 Dec 2005 08 Feb 2019
  Mihir Parikh Mihir on the History of Automation in the Semiconductor Industry 13 Aug 2008 22 Oct 2019
  Mihir Parikh Lessons Learned 21 Aug 2008 22 Oct 2019
  Mike Goldstein & Daisuke O ... First 450mm Wafer from Nippon Mining & Metals 23 Jan 2008 12 Feb 2018
  Mike Mayberry On Test | The Chip History Center 08 May 2006 21 Aug 2017
  Mike Mayberry What’s New in Components Research at Intel 15 May 2006 21 Aug 2017
  Mike Polcari Current Trends in Semiconductor Research 25 Apr 2007 03 Oct 2017
  Mike Splinter AMAT: One Year After 08 Jun 2004 10 Aug 2017
  Mike Splinter Audience Q&A | The Chip History Center 08 Jun 2004 17 Aug 2017
  Mike Splinter What's New at Applied Materials? 05 May 2005 11 Aug 2017
  Mike Splinter New Plays in Materials, Patterning, and Tools for Solar Cells 14 Sep 2006 21 Aug 2017
  Nasser Grayeli Finding Competitive Advantage in Packaging 05 Dec 2008 14 Feb 2018
Nat Cieglio Nat Ceglio: EUV Pioneer 01 Jan 1988 07 Sep 2017
Nicholas DeWolf The Father of ATE (Automatic Test Equipment) 15 Jul 2017 02 Dec 2019
  Nick Konidaris Laser Repair | The Chip History Center 16 Jul 2004 11 Aug 2017
  Nick Konidaris New Systems Keep ESI at the Lead in Laser Repair 12 Jan 2006 21 Aug 2017
  P. Roddy Test Panel: P. Roddy, Freescale on... Open Architecture 29 Mar 2005 11 Aug 2017
  Paul Magliocco Paul Magliocco: New Reality for Test 18 Jun 2003 08 May 2018
  Paul Magliocco Paul Magliocco: Structural vs Functional Test 18 Jun 2003 23 Mar 2018
  Paul Magliocco Open ATE Architecture: ATE Executive View 18 Jun 2003 23 Mar 2018
Paul Otellini Tribute to Paul Otellini 02 Oct 2017 02 Aug 2018
  Peo Hansson Performance Improvements in Semiconductors 14 May 2008 14 Feb 2018
  Peter Rose Peter Rose: Father of Ion Implantation 29 Nov 2004 31 Jul 2017
  Phil Ware Semiconductor lithography history: dateline 2003 - Phil Ware 22 Oct 2003 07 Sep 2017
  Phil Ware Lithography Panel 2004: Phil Ware 16 Nov 2004 02 Mar 2018
  Phil Ware Lithography Panel 2006: Phil Ware, Canon 21 Dec 2006 07 Sep 2017
  Phil Ware Lithography Panel 2007: Phil Ware, Canon 05 Nov 2007 13 Feb 2018
  Phil Ware Lithography Panel 2008: Phil Ware, Canon 18 Nov 2008 20 Feb 2018
  Phil Ware, Bill Arnold, Ge ... Lithography Panel 2006: Audience Q&A 31 Oct 2006 01 Jan 2021
  Ray Thompson How has the industry changed, what's different and why? 06 May 2004 11 Aug 2017
  Rick Wallace KLA-Tencor and Accelerating the 45nm Yield Ramp 10 Jul 2007 03 Oct 2017
  Robert N. Noyce Reflections on the Wisdom and Vision of Bob Noyce 13 Apr 2006 31 Jul 2017
  Robert N. Noyce Robert N. Noyce: Leslie Berlins Biography - Segment 1 20 Jun 2007 22 Oct 2019
  Robert N. Noyce Robert N. Noyce: Leslie Berlin's Biography - Segment 2 27 Jun 2007 22 Oct 2019
Robert N. Noyce Legends, Circa 1990 - Remembering Robert Noyce 11 Jun 2008 31 Jul 2017
Robert N. Noyce Robert N. Noyce: Early Career 01 Jun 1953 01 Aug 2017
Robert N. Noyce Robert N. Noyce: The Intel Years 01 Jun 1968 01 Aug 2017
  Scott Kulicke Assembly & Packaging Challenges 04 Mar 2004 14 Sep 2017
  Sean Maloney Dynamic Duo | The Chip History Center 15 Jun 2006 21 Aug 2017
  Steve Longoria IBM Microelectronics’ Common Platform: a new business model 30 May 2007 03 Oct 2017
  Steve Nakayama 2003: Steve Nakayama 29 Nov 2004 21 Oct 2019
  Steve Wigley Semiconductor Test Consortium (STC) Panel 2008: Steve Wigley, LTX 05 Feb 2008 13 Feb 2018
  Sunit Rikhi Design For Manufacturing (DFM) 26 Sep 2006 07 Sep 2017
  Sunit Rikhi Extreme DFM at Intel 19 Feb 2008 13 Feb 2018
  Sunlin Chou Intel's R&D Pipeline 05 Oct 2004 08 May 2018
  Sunlin Chou How Power, Performance, Area, and Cost relate to Moore's Law 05 Oct 2004 31 Oct 2019
Sunlin Chou: A tribute Sunlin Chou: A tribute 05 Dec 2018 31 Dec 2020
  Thomas Sonderman Tom Sonderman on Automated Precision Manufacturing 29 Mar 2004 08 May 2018
  Tom Caulfield Memory and Logic Divergence & its Impact on IC Equipment 23 Jul 2008 14 Feb 2018
  Tom Franz Intel’s Manufacturing Pipeline 25 Jul 2007 13 Feb 2018
  Toshio Maruyama Advantest: What made it a Successful Company 05 Jan 2006 23 May 2019
  Wilf Corrigan The Lessons He Learned and Why Fundamentals are Important 30 May 2007 22 Oct 2019
  Wilf Corrigan The Development of the ASIC Industry 24 May 2007 22 Oct 2019
  Wilf Corrigan How the SIA Got Started 16 May 2007 23 Mar 2018
  William Holt Striking Balance Between Manufacturing Costs & Design Features 07 Feb 2006 08 Feb 2019
  Yan Borodovsky Yan Borodovsky on DFM and Computational Lithography 13 Sep 2007 12 Feb 2018
  Yan Borodovsky Yan Borodovsky with a demonstration of Computational Lithography 19 Sep 2007 12 Feb 2018

Access to and use of this Website is subject to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy. By accessing or using this Website you agree to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy.

Copyright © 2024 TechInsights Inc. All rights reserved.