Semiconductors are to the information age what engines are to the industrial age. Chips are the steam engine, the internal combustion engine, and the fractional horsepower engine rolled up into one. The Chip History Center is dedicated to preserving that history for future generations.

up down arrow
Title Summary Relevant date Last updated
40 Years Of Moore's Law Historical perspective on Moore’s Law and its affects on everyday life and economic growth fo ... 06 Jun 2005 01 Oct 2017
A Brief History of Electr ... 200 years of deposition technology 28 Aug 2017
A History Timeline of Aut ... A special presentation of The Chip History Center on History Timeline of Automatic Test Equipm ... 15 Jun 2006 29 Aug 2017
AMD - Automated Precision ... This video describes one of the most important factors in how AMD surged ahead to become a worl ... 15 May 2018
  An Intel Wafer Fab Cleanr ... This video shows what it was like to work in a state-of-art fab during the late seventies to ea ... 02 Jun 1980 30 Dec 2017
BEOL Origins | The Chip H ... When Back End of the Line wafer processing was new and emergent. 15 Feb 1995 12 Jul 2018
Change In Chip Making And ... A revolution is occurring in the chip industry causing fundamental change at its market. busine ... 12 Jun 1996 28 Aug 2017
  Copper Interconnect – H ... The transition from aluminum to copper wiring in semiconductor process technology is discussed ... 15 Jun 1998 22 Oct 2019
Customer Satisfaction Lea ... VLSI's Customer Satisfaction Survey Celebrates 30 Years of award winning semiconductor equipmen ... 15 Jun 2018 06 Sep 2018
Environmental Health and ... The semiconductor industry has always worked hard to have and to maintain extremely high health ... 15 Sep 1994 28 Aug 2017
Equipment Suppliers - 199 ... This section examines the vendors who supply semiconductor manufacturing equip­ment. There are ... 07 Dec 2020
Etching & Cleaning - Deve ... Dry processing methods are chemical pro­cesses that use a plasma to drive the reac­tion. Dry et ... 07 Dec 2020
Evaluation of Automated T ... Jerry Hutcheson, VLSI Research's founder, was very active in the test side of the semiconductor ... 28 Aug 2017
Evolution of the IT Indus ... Evolution of the IT Industry from 1890 to 1994 15 Jun 1995 31 Aug 2017
Explore Images of History Key images drawn from donated and archived documents in VLSI's library. These images have been ... 24 Jul 2017
History of Ion Implantati ... History of Ion Implantation Systems and their importance in the development of semiconductor ma ... 15 Jun 1980 26 Oct 2017
IC Timeline | The Chip Hi ... IC Timeline a special presentation of The Chip History Center. 24 Jul 2017
Images in Semiconductor H ... Key events drawn from archived documents in VLSI's library. These documents have been carefully ... 16 Jun 2008 09 Aug 2019
Industry Overview - 1988 ... The sweeping revolution brought about by integrated circuits has caused change not only in elec ... 08 Dec 2020
Intel Timeline from 1968 ... A special presentation of The Chip History Center on Intel Timeline from 1968-1984 24 Jul 2017
  International Standards a ... A conversation with Robert N. Noyce and Karel Urbanek 15 Jun 1990 21 Oct 2019
Introduction to Assembly ... Assembly equipment consists of those types of equipment used to sep­arate the completed wafer i ... 08 Dec 2020
Introduction to Questor S ... Questor systems consist of those types of equipment used in the design, verification, handling, ... 08 Dec 2020
Introduction to Wafer Fab ... Wafer fabrication equipment consists of all those types of equipment used in making and process ... 08 Dec 2020
Ion Implantation - Develo ... Ion implanters directly inject dopant atoms into semiconductor wafers. A gas containing atoms o ... 26 Aug 2021
John Bardeen and Transist ... John Bardeen and Transistor Physics by Howard R. Huff, International SEMATECH 25 Apr 2007 24 Jul 2017
Material Handling Systems ... Material handling equipment serves as a physical, mechanical and electronic interface between t ... 17 Dec 2020
Microlithography & Mask M ... There are two essential applications for semiconductor lithography equipment. They are lumped t ... 17 Dec 2020
Moore's Law: 40 Years and ... In 1965, Gordon Moore sat down to pen his article for Electronics magazine. Eventually, it woul ... 01 Jan 2005 28 Aug 2017
Moore's Law: Its Death Ha ... Its Death Has Been Greatly Over-Exaggerated - ISSM 2003 Keynote Presentation 01 Oct 2003 30 Dec 2017
Moore's Law: Original Dra ... The Future of Integrated Electronics draft, written by Dr. Gordon E. Moore for Electronics Maga ... 19 Apr 1965 14 Sep 2017
Moore’s Law GDP Value i ... How the Moore’s Law raises income in relationship to the economies of the United States and t ... 13 Feb 2015 21 Oct 2019
Other Equipment - Develop ... Section 4.8 covers that equipment which does not fit in the other wafer fabrication equipment c ... 17 Dec 2020
Packaging Equipment - Dev ... The early nineties, brought a renaissance to packaging due to a demand for more I/0, smaller si ... 17 Dec 2020
Paradigms of Maskless Lit ... The replacement of optical lithography with e-beam direct write for semiconductor manufacturing ... 30 Dec 2017
Process Diagnostics - Dev ... Process diagnostic equipment is critical to semiconductor manufacture. Though this equipment is ... 17 Dec 2020
Process Diagnostics - Dev ... Process diagnostic equipment is critical to semiconductor manufacture. Though this equipment is ... 17 Dec 2020
Reflect & Imagine 20 Year ... In 2004, ASML turned 20. This book was originally published to give employees an insight into t ... 28 Aug 2017
Reminiscences from SEMICO ... The industry was in its childhood? When two or three SEMICON trade shows covered the entire wor ... 15 Jul 1971 06 Sep 2018
  SEMATECH - An Oral Histor ... An interview with Dave Anderson 16 Jun 1992 30 Dec 2017
Semiconductor ATE Automatic Test Systems (ATS) encompass a broad range of equipment-in size and in function as we ... 30 Sep 2020
Semiconductor Bonding & I ... Bonding and inspection has historically been overlooked by upper management in semi­conductor c ... 30 Sep 2020
Semiconductor Deposition Lithography has long been regarded as the queen of wafer fabrication in the drive towards submi ... 30 Sep 2020
Semiconductor Dicing Equi ... Dicing equipment is used to cut apart individual die on a wafer. Typically, a dicing saw will c ... 30 Sep 2020
Semiconductor Diffusion & ... There are three market segments of the diffusion/oxidation equipment industry. They are diffusi ... 30 Sep 2020
  Semiconductor wafer fab t ... Go inside a 200mm fab at Intel's Fab 17 Hudson, Massachusetts factory as it existed around 2000 ... 15 Jun 2000 30 Dec 2017
Silicon and the Microelec ... The emergence of crystalline silicon and silicon-based material such as silicon-germanium as th ... 24 Jul 2017
Silicon Valley Genealogy AMD's and Intel's can be traced back to Fairchild and Shockley Transistor with this genealogy o ... 21 Oct 2019
  SRC 25th Anniversary The history and accomplishments of the Semiconductor Research Corporation 01 Jun 2007 22 Oct 2019
Tencor at 20 Years Tencor (later evolved to be KLA - Tencor) is in the bedrock of the semiconductor equipment ind ... 24 Jul 2017
  The Development of the Fi ... Megatest's MegaOne was the system that sparked the tester-per-pin revolution (1983, courtesy of ... 02 Jan 1983 30 Dec 2017
  The invention of the IC, ... The History of the invention of the Integrated Circuit. Why it wasn't so predictable. Corporate ... 23 Jan 1958 22 Oct 2019
The Near Impossibility of ... This superb article, about the birth of the Perkin Elmer Projection Scanning Aligner, was first ... 30 Jun 1975 28 Aug 2017
  The Transistor and the Bi ... The Invention of the Transistor and the Birth of the Information Age. Michael Riordan, co-autho ... 30 Jun 1955 22 Oct 2019
Transistors to Integrated ... Transistors to Integrated Circuits: How the early semiconductor industry got from the Lab to th ... 16 Dec 1947 23 Jan 2019
Ultratech’s History ... Founded in 1979 as Ultratech Stepper, it was the oldest stepper company in the world until its ... 29 Sep 2017 26 Oct 2017
VLSI - An Economist's Vie ... A Presentation Draft of The First Wafer Fab Cost Optimization Model1980 15 Jan 1980 28 Aug 2017
When the death of Moore's ... Crashing into Moore's Wall is like a conspiracy theory: it can never be disproved to the true b ... 15 Jan 1996 30 Dec 2017

Access to and use of this Website is subject to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy. By accessing or using this Website you agree to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy.

Copyright © 2024 TechInsights Inc. All rights reserved.