Semiconductors are to the information age what engines are to the industrial age. Chips are the steam engine, the internal combustion engine, and the fractional horsepower engine rolled up into one. The Chip History Center is dedicated to preserving that history for future generations.

People

  (View List)
up down arrow
Alex d'Arbeloff on Tera ...
23 May 2006
Alex d'Arbeloff on Tera ...

How Teradyne got started and what it was like to be a start-up in the sixties.

How Teradyne got started and what it was like to be a start-up in the sixties. ... See more
Andy Grove on the Evolu ...
22 Mar 2005
Andy Grove on the Evolu ...

Andrew S. Grove addresses the evolution of semiconductor manufacturing from the ...

Andrew S. Grove addresses the evolution of semiconductor manufacturing from the earliest days at Fairchild to Intel in this interview. ... See more
Power/Performance in IC ...
15 Nov 1966
Power/Performance in IC ...

IBM’s Robert H. Dennard made two of the most revolutionary contributions to th ...

IBM’s Robert H. Dennard made two of the most revolutionary contributions to the semiconductor industry with his development of the DRAM cell in 1966 and for codifying how “Transistor shrinks result in proportional power and/or performance gains” ...See more
Fairchild & Chip Making
10 Oct 2007
Fairchild & Chip Making

Charlie Sporck arrived at Fairchild Semiconductor in 1959. Between then and thro ...

Charlie Sporck arrived at Fairchild Semiconductor in 1959. Between then and through the 1980's he built a strong reputation and ultimately became respected by many as America's greatest manufacturing executive in semiconductors during his time. ... See more
How KLA-Tencor Meets St ...
11 Jul 2005
How KLA-Tencor Meets St ...

Ken Schroeder, then President & CEO of KLA-Tencor, discusses the history of proc ...

Ken Schroeder, then President & CEO of KLA-Tencor, discusses the history of process control and the role K-T played in improving wafer fab yields for semiconductor companies in this 2005 video. ... See more
The Rise of ASML
26 Jul 2006
The Rise of ASML

Martin van den Brink, employee number 1 at ASML, describes what it's been like t ...

Martin van den Brink, employee number 1 at ASML, describes what it's been like to take on Japan's giants and win. ... See more
Advantest: What made it ...
05 Jan 2006
Advantest: What made it ...

Toshio Maruyama reflects on the history and future of Advantest and its role in ...

Toshio Maruyama reflects on the history and future of Advantest and its role in semiconductor ATE. ... See more
How the SIA Got Started
16 May 2007
How the SIA Got Started

How the SIA got started is an video interview with the legendary Wilf Corrigan w ...

How the SIA got started is an video interview with the legendary Wilf Corrigan who was there when this soon-to-be-great organization got started. ... See more
The History of EDA: an  ...
08 Nov 2006
The History of EDA: an ...

How EDA evolved from crude mainframes that could hardly draw a line, to today's ...

How EDA evolved from crude mainframes that could hardly draw a line, to today's hierarchical software that keeps track of the billions of things that have to happen to turn an idea into a design ... See more
Current Trends in EDA
05 Dec 2006
Current Trends in EDA

Aart de Geus: Chairman & CEO, Synopsys: Current Trends in EDA

Aart de Geus: Chairman & CEO, Synopsys: Current Trends in EDA ... See more
Alex d'Arbeloff on Tera ...
01 Jun 2006
Alex d'Arbeloff on Tera ...

Alex describes the second phase of Teradyne history and how they built the compa ...

Alex describes the second phase of Teradyne history and how they built the company into an industry giant. ... See more
Alex d'Arbeloff on Less ...
07 Jun 2006
Alex d'Arbeloff on Less ...

Alex d'Arbeloff's essential rules for running a semiconductor equipment company

Alex d'Arbeloff's essential rules for running a semiconductor equipment company ... See more
Introducing DFMSim
01 Jul 2008
Introducing DFMSim

Anantha Sethuraman: CEO of DFMSim: Introducing DFMSim

Anantha Sethuraman: CEO of DFMSim: Introducing DFMSim ... See more
Soitec's SOI Roadmap
26 Feb 2004
Soitec's SOI Roadmap

Andre Auberton-Herve: President & CEO, Soitec: Soitec's SOI Roadmap

Andre Auberton-Herve: President & CEO, Soitec: Soitec's SOI Roadmap ... See more
Lithography Panel 2008: ...
18 Nov 2008
Lithography Panel 2008: ...

Lithography Panel 2008: Andrew Hazelton, Nikon

Lithography Panel 2008: Andrew Hazelton, Nikon ... See more
Lithography Panel 2008: ...
18 Nov 2008
Lithography Panel 2008: ...

Lithography Panel 2008: Audience Q&A

Lithography Panel 2008: Audience Q&A ... See more
Andy Grove on the devel ...
15 Jun 2004
Andy Grove on the devel ...

Andy Grove talks at IMEC 2004 - the Intel Manufacturing Excellence Conference - ...

Andy Grove talks at IMEC 2004 - the Intel Manufacturing Excellence Conference - about the history of manufacturing and how early practices entered into the Intel legacy flow. Topics include issues deploying new technology into manufacturing. Reliabil ...See more
Andy Grove as an Indust ...
21 Mar 2016
Andy Grove as an Indust ...

How Andy changed our industry in fundamental ways.

How Andy changed our industry in fundamental ways. ... See more
Art Zafiropoulo and his ...
29 Nov 2004
Art Zafiropoulo and his ...

Art Zafiropoulo was honored for championing technology through innovative market ...

Art Zafiropoulo was honored for championing technology through innovative marketing approaches: first with plasma etching and later with “mix and match” lithographic manufacturing strategies. ... See more
Ultratech's Vision of t ...
16 Nov 2004
Ultratech's Vision of t ...

Art Zafiropoulo: Chairman & CEO of Ultratech: Ultratech's Vision of the Future

Art Zafiropoulo: Chairman & CEO of Ultratech: Ultratech's Vision of the Future ... See more
How Organic Growth Beat ...
10 Aug 2007
How Organic Growth Beat ...

Art Zafiropoulo: Chairman and CEO, Ultratech: How Organic Growth Beats Acquisiti ...

Art Zafiropoulo: Chairman and CEO, Ultratech: How Organic Growth Beats Acquisitive Growth in Hi-Tech ... See more
A tribute to Arthur del ...
28 Oct 2016
A tribute to Arthur del ...

a real visionary for our industry the father of Europe’s equipment industry ...

a real visionary for our industry the father of Europe’s equipment industry Art had that rare ability to see a future that spanned technology, markets, people, and business models ... See more
Bill Tobey on his Bob G ...
06 Dec 2006
Bill Tobey on his Bob G ...

Bill Tobey on his 2006 Bob Graham Award and Lessons Learned

Bill Tobey on his 2006 Bob Graham Award and Lessons Learned ... See more
Test Panel: B. Price, P ...
29 Mar 2005
Test Panel: B. Price, P ...

Test Panel: B. Price, Philips on... Open Architecture

Test Panel: B. Price, Philips on... Open Architecture ... See more
Barry Rapozo and his 20 ...
29 Nov 2004
Barry Rapozo and his 20 ...

Barry Rapozo was honored for the establishment of selling processes in wafer fab ...

Barry Rapozo was honored for the establishment of selling processes in wafer fab. He identified and met our industry specific customer needs and brought these selling processes into theindustry. ... See more

Access to and use of this Website is subject to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy. By accessing or using this Website you agree to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy.

Copyright © 2024 TechInsights Inc. All rights reserved.