Lithography tool and competitive transition history

Summary : One has to look way back to find a lithography tool transition as major as the move to EUV. Few people are old enough to remember a tool transition as tumultuous as EUV. In fact, the transition

One has to look way back to find a lithography tool transition as major as the move to EUV. Few people are old enough to remember a tool transition as tumultuous as EUV. In fact, the transition from projection to steppers was arguably more tumultuous. In the early seventies, there was a race to find a NGL tool to replace the proximity aligner, which was an evolutionary step from the contact aligner. Simple projection didn’t work, so the most obvious path was to convert a mask photo repeater into a wafer tool. IBM and Philips tried and failed in the early seventies. Then Perkin-Elmer introduced its Micralign scanning projection aligner in 1973. Like EUV today, it was something that seemed nearly impossible at the time. Even more than double-patterning today, the Micralign put the stepper on an indefinite hold.

Looking back, the end of the projection aligner era probably started with the failure of the 64 Kbit DRAM production ramp in 1978, when TI was first to introduce this device. The normal memory ramp of the day was from single digits to around 50%, with production starting somewhere in the 20-30% range. Memory was leading the way through the “one-micron barrier”. It got stopped because the lithography technology wasn’t there. As it turned out, this would mark a rare time when Moore’s Law was put on hold. Some feared the one-micron barrier was impenetrable; it was only thirteen years old. Moore’s Law wouldn’t get going again until 1982, when the industry finally sorted out its patterning direction.

Let’s stop and dispel a key myth about steppers: Pellicles were not the deciding factor in this technology’s success. Pellicles were important at some companies in Europe and the United States, but they didn’t come into general use until the early eighties. While IBM patented the technology in 1978, they chose to go the direction of DUV scanning projection. Japan did quite fine without pellicles even though they had to move to steppers first. This was because the reigning litho king at the time, for a bunch of complicated reasons, was stingy about shipping projection aligners to Japanese chip makers. Japan solved the defectivity problem in part by dedicating layers to a single machine. More importantly, they made sure the optics path was spotless and stayed clean.* This is why Japan was the last to adopt reticles and yet the first to adopt steppers. Pellicles made life in the fab easier, but they were not essential. Arguably, the problem that caused the delay was largely a result of the fact that logic was still catching up and the industry could not decide which direction it would take.

As the seventies came to a close, there were three NGL camps: DUV projection, e-beam direct-write, and steppers. Perkin-Elmer was generally seen to be the best positioned because their Micralign projection aligners dominated production* and their MEBES e-beam tool dominated mask writing and was a solid direct-write prototyping tool.

DUV projection: Most of those in the DUV camp had tried and failed with steppers in the early-to-mid-seventies. Plus, they wanted the high throughput of a whole-wafer exposure tool. Steppers were seen as too slow and expensive. But things like overlay and focus control were starting to become more important, which projection had problems with, while defect density was another issue with the technology. Most importantly, there was no resist. Back in those days, it was a classic error to see lithography as a tool and not a system of multiple components and technologies. So many mistakenly assumed that if they built a DUV projection aligner the resist companies would come. EUV resists are an extremely difficult technology. IBM was the only with the horsepower to overcome the problem at the time.

E-beam: Those in the e-beam camp saw it as the ultimate in resolution and thought they could eventually hit 30 wafers-an-hour, despite 3 being a good day at the time. E-beam’s real role would prove to be as a mask writer as the problem with direct-write was that it violated Moore’s Law. E-beam exposes on a pixel-by-pixel basis. So a doubling of transistors meant more pixels and thus improvements in writing speed were eaten up by scaling. Another factor limiting direct-write was yield. The data-path in writers is always suspect, causing write errors that show up as hard defects. Reticles can be repaired. Wafers can’t. Finally, e-beam’s $2.3M tool price combined with low throughput and yield should have taken it out of running early-on. But, the e-beam camp largely ignored these factors, focusing on having the ultimate in resolution instead.

Part of the problem at the time was that there were no good models for evaluating lithography technologies at the time. The two most common were tool price divided-by-throughput or simple resolution. The most sophisticated were figure-of-merit based on both. That was where I and VLSIresearch entered into the fray. At the time, we were pretty much unknown. Dataquest (in the DUV projection camp) and ICE (in the e-beam camp) were the giants of consulting and their positions would prove very helpful to us. My dad had a good figure-of-merit model. Being an economist, I saw that this could be turned into what would be the first cost-of-ownership model. But it was based on cost-per-good-die instead of cost-per-wafer processed. We used it to forecast that steppers would win the battle on the basis of cost-per-good die, which put us on the map. This model was so controversial that eventually we gave it away at SEMICON technical session under the title, “Profitable Solutions to Lithography.”  It would be some time and take the full effort of SEMATECH before the industry would accept cost as the final deciding factor in tool buys. Which brings us to steppers:

Steppers: Like EUV today steppers struggled with throughput and price. A 10X stepper at the time could only do 11 100mm wafers-per-hour. Projection easily won this race with 40 wafers an hour. At a price of $600K, steppers induced sticker shock everywhere. A non-DUV Micrascan projection aligner cost about $240K and PE was not talking about the price of the DUV tool. Another issue tripping up this technology was misinformation, mixed ramps, and setbacks.

While the Japanese were using steppers, they were showing everyone from the west lines on contact aligners. But when the tour got to the probers, they had arranged for wafers off the steppers to be on the testers. Imagine you’re there looking at the prober inking the bad die and it can easily be seen that they are getting 50% yields. Executives came back from Japan figuring the competition was over, convinced they had to get out of memory.

Meanwhile, one of the leading memory manufacturers at the time, Mostek, was trying to ramp a new production line based solely on steppers. They didn’t know about keeping optical path clean and so repeating defects had stopped their ramp cold. It leaked out, with an effect much like that 2014 paper at SPIE about problems with EUV. Senior managements were seriously questioning their litho engineers. Pellicles did eventually come to the rescue around this time, like the cavalry charging in to save the settlers, hunkered down with their wagons circled. But by then, steppers would have too much momentum driven by economics to be stopped.

There were those who moved more cautiously than Mostek and solved the repeating defect problem. TI and Motorola in particular introduced steppers slowly, running them in existing lines, learning what had to be done to successfully integrate them into manufacturing. Both companies, by the way, would be two of the few to stay in the DRAM market and successfully compete against the Japanese. Then there was that bright young engineer who took the lessons from Mostek to Idaho and with his brother and funding from a potato farmer started Micron Technology. As an aside, Micron featured western art on the covers of its annual reports depicting settlers with their wagons circled, fighting off the Indians surrounding them as a metaphor for their last stand against Japan in memories. Interestingly, while Japan’s weapon of choice was Nikon, Micron’s was ASML which would become a tool battle that lasts to this day. 

The stepper’s killer advantage was defect density. Those that had solved the repeating defect problem ran an average of only 1-per-square-inch where projection was running around 4.  They were talking about starting their production ramp at what had been the mature device standard of 50%! The stepper printed cleaner patterns with tighter alignment across the wafer. So the resulting good-die had greater value as well. The result was that despite its high price, the stepper could produce a good 64 Kbit DRAM for about a fifth the cost of what a projection aligner could do.

 

In the end it was the stepper’s economic advantage in cost-per-good die that caused it to win the battle. But one must remember it also did the whole job technically. X-ray proximity printing was another promising technology that I skipped over, which showed economic promise on paper. X-ray never made it because it never did the whole job.

Fast forward to today: Scanners, the decedents of the first steppers, cost $50-to-60M and do 200 300 mm wafers-per-hour with far lower defect density. The stepper era has been an amazing ride for a technology that was once seen as so flawed and yet made so many companies and careers. Luck, brilliance, and persistence had much to do with the success stories. The first day Martin van den Brink showed up for work for Philips was the day they announced the stepper effort would be spun off to become ASML. That morning they had split all the new grads to work either on e-beam or steppers. At lunch, with all the seasoned lithography pros, they asked where he’d been assigned. Having been assigned to steppers, he was told that this was really bad luck as it couldn’t go anywhere. Imagine being in his shoes that day! Yet history would prove that it was a very fortunate roll of the dice for the whole world that someone so brilliant and persistent would be sent down this path. Before that, on the other side of the world, Shoichiro Yoshida had been told to pick seven guys and go start Nikon’s 5X stepper effort. I have been told that he was known as a bit of a Ronin inside Nikon. It’s probable that he was expected to fail. Nikon already had a mainstreamed 10X effort going, which would eventually be abandoned in favor of what was a rogue 5X effort. What came out, Nikon’s 4-body was legendary for the ground-breaking flatness of its lens and its incredible reliability for the day.

So what are the lessons to be gleaned from this for EUV?

1.    Youth and vigor invariably win out over age and experience. Combine the two and it’s unstoppable. EUV has its roots in National Labs and Universities. So the human resources that can take it forward for the next forty years are in place. At the same time it has the backing of some of the best companies and lithographers in the world with the experience to implement it.

2.    Economics is always the deciding factor, as measured in cost-per-good-product out. Technology gets the ball rolling, but in the end technology is a business.  Steppers eventually won because they had the fundamental advantage of profit-per-die over higher cost alternatives. As long as EUV continues making the steady progress, it will win because it offers better pattern fidelity. Plus, many of the alternative technologies like DSA and multi-patterning compliment EUV.

3.    Don’t jump off the boat into the ocean with a new technology. You may well sink where others, more cautious, can tread water until it’s sustainable. All of the companies working with EUV have been moving cautiously from research to development and are now learning to run the tool in a manufacturing environment. Moreover, this level of commitment

4.    Setbacks and leap-forwards are part of the ebb-and-flow of a new technology. While they can be big media events, that’s all they are. It’s only the steady march forward of a new technology that guarantees its success. And this is something EUV has demonstrated it can do.

5.    There’s a saying that ‘it’s better to be lucky than it is to be brilliant.’ But in the cast of a major technology it takes more than luck.  Brilliance and the persistence to plow through the setbacks while not getting over-confident in the leap-forwards play a big role.

 

*  Some say it put the silicon in Silicon Valley.

** Today’s tools, especially EUV, have clean optical paths engineered in.

 

 

Originally published March 31, 2015, The Chip Insider

Author: G Dan Hutcheson

 

You may like this also:

Access to and use of this Website is subject to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy. By accessing or using this Website you agree to TechInsights' Terms of Use (including Copyright Policy & Claims) and Privacy Policy.

Copyright © 2024 TechInsights Inc. All rights reserved.